CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog LED

搜索资源列表

  1. mico8_demo工程

    0下载:
  2. mico8驱动led工程源码
  3. 所属分类:VHDL编程

    • 发布日期:2010-10-08
    • 文件大小:1873760
    • 提供者:apipi_2010
  1. verilog电子琴

    0下载:
  2. 用verilog编写的电子琴,用8个按键实现8个音调,并控制相应led灯闪烁,集成了按键消抖功能
  3. 所属分类:源码下载

    • 发布日期:2011-02-03
    • 文件大小:13198022
    • 提供者:ikedodo
  1. 4位7段led灯控制

    0下载:
  2. 4位7段led灯的控制,0000-9999动态显示
  3. 所属分类:VHDL编程

  1. lightflu

    0下载:
  2. 用Verilog写的一个流水灯程序,实现8位led灯循环点亮!-Written with a flowing light Verilog program, to achieve 8 led light cycle light!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:277048
    • 提供者:ye
  1. led

    0下载:
  2. 适用于FPGA初学者,一个流水灯的程序,用VERILOG语言写的.-Applicable to FPGA beginners, a procedure for light water, using the Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:218067
    • 提供者:
  1. code

    0下载:
  2. Verilog的LED控制器源程序,用于LED显示屏幕的控制。-Verilog source code of the LED controller for the LED display screen control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2059
    • 提供者:
  1. v2c5_sopc_leds

    1下载:
  2. 在quartus II软件中,通过Verilog实现FPGA对于彩屏LED的控制-In quartus II software through Verilog for FPGA implementation of control LED color
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:6920407
    • 提供者:mimi
  1. VerilogHDL_code

    0下载:
  2. 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1603267
    • 提供者:shsh
  1. key1

    0下载:
  2. 用verilog硬件描述语言写的一个LED的程序,可以用到各种模块中,实用性很强,欢迎大家下载使用。-Verilog hardware descr iption language used to write procedures for a LED can be used in a variety of modules are very practical, and welcome to download.
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:612
    • 提供者:zhangying
  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:129279
    • 提供者:623902748
  1. traffic

    0下载:
  2. 一个很好的交通灯控制的Verilog HDL实现方式,包括LED显示部分。-A good control of traffic lights to achieve the Verilog HDL, including the LED display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1041
    • 提供者:keke
  1. Cymometer

    2下载:
  2. Verilog 编写的频率计,使用8位LED作为显示,Quartus II 6.0的工程文件。保证好用,EPM240T的芯片。使用了66 的资源。-Written in Verilog frequency counter, using 8-bit LED as the display, Quartus II 6.0 of the project file. To ensure easy to use, EPM240T chips. 66 of the resources used.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:585557
    • 提供者:石头
  1. 8led

    0下载:
  2. verilog HDL上的8段LED跑马灯效果,Q2开发的希望对各位初学者有用-verilog HDL on the effect of 8-segment LED Marquee, Q2 development you want to be useful for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:329498
    • 提供者:zxf
  1. led

    0下载:
  2. Verilog 流水灯程序 这个可以作为初级入门练习使用,产生感性认识!-Verilog flow light program that can be used as the primary entry to practice, resulting in perceptual knowledge!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:312274
    • 提供者:xiaoming
  1. LED

    0下载:
  2. verilog实现fpga easy030的led闪动-verilog,fpga easy030,led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:247921
    • 提供者:caichao
  1. FPGA_TEST

    0下载:
  2. FPGA Verilog SPI 按键 LED控制程序-FPGA Verilog SPI 按键 LED控制程序
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-17
    • 文件大小:26999440
    • 提供者:zhangfangyuan
  1. DE2-VGA-LED

    0下载:
  2. verilog HDL 语言编写的,FPGA的数码管和VGA的显示。调用时不必修改源码,只需引脚映射对就可以-verilog HDL language, FPGA digital and VGA display. Call without having to modify source code, you can just pin on the map
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5794076
    • 提供者:
  1. LED-LIGHT

    0下载:
  2. FPGA verilog LED点灯 使用的是Xinlix SPARTAN-3E 的处理器-FPGA verilog LED light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-05
    • 文件大小:58346
    • 提供者:吴晨炜
  1. VERILOG---LED

    0下载:
  2. verilog代码,实现让十位按键的数字以十进制显示在LED灯上。-verilog code, which allows the digital keys to ten decimal display on the LED lights.
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:564
    • 提供者:童童白
  1. Verilog led

    0下载:
  2. Xilinx ISE开发平台实现4位的led灯循环点亮源代码,测试文件及约束(4 bit LED lamp cycle lighting)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:29696
    • 提供者:韩么韩
« 12 3 4 5 6 7 8 9 10 ... 18 »
搜珍网 www.dssz.com